Scheda di sviluppo FPGA economica [chiusa]


12

Voglio iniziare con FPGA, ma non ho mai lavorato prima.

Voglio un kit economico, ma non so da dove cominciare. Riesco a trovare schede economiche, ma poi non riesco a ottenere informazioni sul programmatore o sul compilatore, quindi spero che tu possa aiutarti.

Voglio qualcosa di veramente economico ($ 30- $ 40 max), preferibilmente con un modo non proprietario di programmazione e debug (forse JTAG?) Che sarebbe in grado di programmare usando alcuni linguaggi come WinCUPL o VHDL.

Ho trovato la scheda Diligent Cmod con un CPLD Xilinx su un altro thread, ma non riesco a trovare come programmare / eseguire il debug (software e hardware aggiuntivo coinvolti).


Domanda per lo shopping; rischia di essere chiuso poiché tali risposte cambiano nel tempo.
Brian Carlton,




Questa è una domanda di 4 anni e validamente ontopica al momento. Perché lo facciamo VTC?
Passante dal

Risposte:


10

Quella scheda è una scheda CPLD, simile ma si indica una scheda FPGA nella domanda. La programmazione sarà affidata a JTAG e vari venditori faranno le cose diversamente, quindi non esiste una soluzione "soddisfacente" per un programmatore per tutti i fornitori (fammi sapere se ne trovi uno :-)) Ci sono cose come OpenOCD e OpenJTAG e Presto, Wiggler , ecc. Avresti bisogno del programmatore Xilinx JTAG (o un clone di eBay dovrebbe funzionare bene, o una delle cose di tipo Presto / Wiggler ma poi rischi la frustrazione ...) per programmare questa scheda.

Tuttavia, molte delle schede demo FPGA hanno la possibilità di programmare tramite USB (a differenza della scheda CPLD sopra, che è solo tramite un'intestazione JTAG) Sarà necessario l'IDE Xilinx (webpack ISE penso - scarica qui ), che può essere scaricato dal loro sito Web e dal software di programmazione. Non sono completamente sicuro di tutti i dettagli più fini mentre uso FPGA Actel (ora Microsemi). Speriamo che qualcuno possa confermare quanto sopra.

Anche se non ho usato Xilinx, conosco alcuni che hanno ottenuto una delle schede Nexys e ne sono rimasti contenti, anche se sono al di sopra del budget. Tuttavia, eBay potrebbe essere a buon mercato. Se non sai molto sugli FPGA e non sei sicuro da dove cominciare, una delle schede Digilent basate su USB è probabilmente la soluzione migliore, in quanto viene fornita con tutto ciò che è necessario per programmare e un sacco di documentazione / tutorial / progetti di esempio.

Programmerai in Verilog o VHDL (o System Verilog, o poche altre opzioni)
EDABoard ha un buon forum su FPGA / CPLD per quando rimani bloccato, e FPGAforfun è un altro sito decente (con alcune schede disponibili per inciso)

Preparati per un percorso più difficile con FPGA rispetto ad esempio ai microcontrollori, c'è molto meno là fuori guida / esempio saggio e gli strumenti di progettazione (compilazione, simulazione, sintesi, luogo / percorso / tempistica, ecc.) Sono molto complessi - preparati per molto di lettura :-) Detto questo, dovresti ottenere alcune cose semplici e funzionanti abbastanza rapidamente con una buona scheda di sviluppo.


4

Il punto di ingresso più economico è probabilmente una di queste schede Cyclone II, l'esempio è la scheda di sviluppo FPGA Altera Cyclone II EP2C5T144 Mini. Avrai bisogno di un'interfaccia JTAG che puoi ottenere dallo stesso fornitore. Sono necessari gli strumenti Quartus II gratuiti che possono essere scaricati dal sito Web Altera e che supportano VHDL, Verilog e voci schematiche. Ho una di quelle schede e funziona molto bene. I circuiti esterni devono essere aggiunti tramite PCB che sono interfacciati tramite una o più testate. Ho creato questa pagina Web per aiutare gli utenti a iniziare.

Un'altra scheda che ho è questa di Digilent, che può essere utilizzata con il software ISE gratuito disponibile da Xilinx. È necessario anche un cavo JTAG con quella scheda. Questa scheda è più facile da usare perché sono disponibili molti esempi.


1
Ho anche una di quelle "mini" schede FPGA Altera Cyclone II. Ho incontrato alcune cose strane con la mia. È arrivato con ponticelli da 0 ohm (R1, R2, R9, R10) installati per il dispositivo EP2C8 e non EP2C5; così li ho appena rimossi per ripristinare quei pin alla funzionalità I / O dell'utente. L'altra cosa che non ho capito (ma lasciato da solo) è il filtro R / C 10K / 10uF (?) Sul pin 73; non so a cosa serva. Oltre a quelle poche cose strane, direi che è una bella tavoletta di barebone.
Craig,

Che R / C sul pin 73 potrebbe essere lì per una funzione di ritardo all'accensione, se qualcuno ne ha bisogno.
Leon Heller,

Il CycloneII verrà gradualmente eliminato, quindi QuartusII lo supporterà solo fino alla 13.0.
Simon Richter,

3

Mentre queste schede funzionerebbero sicuramente, consiglierei di ottenere questo: http://www.aliexpress.com/product-fm/482507559-FPGA-Altera-Cyclone-EP1C6-NIOSII-FULL-Devlopment-Board-WB050-wholesalers.html

Consegnato in 44 $, ma ha un sacco di roba da sperimentare a bordo.

Per programmare questo è necessario Altera USB blaster, http://www.aliexpress.com/product-fm/473816005-USB-Blaster-ALTERA-CPLD-FPGA-programmer--wholesalers.html

13 $ consegnati. Quindi per 57 $ avrai tutto il necessario per scavare in FPGA. Personalmente ho ordinato una versione leggermente più costosa di questa scheda, che ha il chip EP2C8, a parte questo è la stessa.


Probabilmente una buona scelta, proverò a trovarlo in un distributore vicino (
costa

La spedizione postale di Hong Kong dovrebbe essere gratuita.
Bars Mons.

1
Questo non è un Altera USB Blaster, sebbene affermi di essere "100% compatibile con ALTERA USB Blaster ufficiale". Mi piacciono i cloni, ma mettere illegalmente un logo Altera sul prodotto è troppo. Mi chiedo anche se l'altra scheda abbia davvero un FPGA Altera o che sia anche un clone. Questo "Terasic Blaster" è il clone che uso, sono molto più onesti nell'essere un clone e non programmatore ufficiale di Altera.
Ben Voigt,

@ Ben Voigt, posso perdonare tutto ciò per aver lasciato il 90% dei soldi in tasca ;-)
BarsMonster,

Hai ricevuto schemi nel tuo ordine? Con i nuovi cloni cinesi, è necessario controllare sempre dove si trovano gli schemi, in particolare con una conoscenza cinese debole. "economico" non ha importanza se è di scarsa qualità, ad esempio .
hhh

2

Consiglio vivamente l'Altera DE0-Nano. Dispone dell'ultimo FPGA Cyclone 4, LED e switch integrati, un accelerometro e ADC. Sarebbe una buona scelta per i principianti. Potrebbe essere un po 'costoso (studente $ 79 o $ 59) ma penso che valga la pena pagare un extra per le funzionalità che ha già integrato.

Altera ha anche questi tutorial / risorse per i principianti che puntano su DE0-Nano qui: http://www.altera.com/education/univ/materials/comp_org/tutorials/unv-tutorials.html


2
Sembra davvero buono, ma devo comprare anche USB Blaster (o un altro programmatore)?
rnunes,

@rnunes No, non è necessario - fonte . Nb. Ho letto la scrittura di Leon su qualche thread del forum in cui un punto era che potrebbe essere più semplice avere una scheda senza USB-blaster, voci: le istruzioni di Altera sono obsolete.
hhh

2

Ho seguito questo corso gratuito di fpga VHDL di recente ed è stato fantastico. Esamina diversi progetti e offre una panoramica generale della lingua. Alcuni escono e lo fanno sui tuoi progetti, il che può essere frustrante se inizi e non sai dove andare, ma è stata una grande sfida.

Ho usato la scheda Basys 2 e ha funzionato perfettamente per il corso. Non ho usato nient'altro oltre alle schede Digilent ma credo che il loro programma abbia un ottimo debug e caricatore di file bit. inserisci qui la descrizione dell'immagine


Puoi acquistare Basys 2 solo dal sito Digilent. Non ci vedo davvero nulla: il corriere mi costa lo stesso importo del consiglio anche con uno sconto accademico. Spero che l'FPGA EP2C5T144 possa essere usato per seguire il corso, +1.
hhh,

@hhh Forse MLM stava offrendo un suggerimento al poster originale e non a te. Basys2 è una buona scelta se puoi ottenere lo sconto per studenti.
Joe Hass,

1

FreeRangeFactory.org offre un libro su VHDL e alcuni chip FPGA XuLA. Il libro è disponibile online qui , sembra roba relativa di alta qualità. Il prezzo per la scheda e il libro spedito è inferiore a 100 USD.

Non ho comprato questo set ma potrebbe essere una buona tavola di partenza, ancora indagando.


0

Consiglio vivamente lo Open Workbench Logic Sniffer di Seeed Studio. Nota la tripla 'e' in Seeed.

Il costo è di $ 50 e la scheda include un FPGA Spartan3E XC3S250E-VQ100 e un PIC18F24J50 utilizzati per parlare con l'FPGA. Esistono 16 pin di solo ingresso bufferizzati con un M74LCX16245DTR2G (tollerante da -0,5 V a +7 V) e altri 16 pin I / O portati alle intestazioni lungo un bordo della scheda.

Tutto il codice di Logic Analyzer è open source che ti offre un ottimo punto di partenza. Quando hai finito di giocare con l'FPGA, puoi trasformare l'unità in un Logic Analyzer davvero utile.

Più documentazione qui

Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.