consigli sui libri su FPGA [chiuso]


12


quali titoli di libri consiglieresti di iniziare con FPGA e VHDL?

modifica
Ho notato che alcuni dei libri consigliati risalgono al 1996. Posso immaginare che i dispositivi citati saranno seriamente obsoleti, ma da allora anche VHDL si è molto evoluto?


Il libro di Hamblen et al. Che ho citato è molto aggiornato e utilizza l'ultimo software Altera con un compilatore VHDL attuale.
Leon Heller,

3
Il 1996 non sarà un grosso problema; Il VHDL è stato rivisto nel 1993 e probabilmente sarà coperto. C'è un'edizione più recente nelle opere chiamata VHDL-200X, ma imparare gli aggiornamenti non sarà certamente un grande sforzo quanto apprendere la tecnologia e lo stile del design.
Yann Vernier,


VHDL, come C, è praticamente statico. I gate logici non sono realmente cambiati, anche se i nuovi chip ne hanno più. Potrebbero esserci estensioni specifiche del chip dai produttori del dispositivo, ma non è necessario modificare la struttura sottostante.
Connor Wolf,

Risposte:



5

A complemento di queste risposte, c'è anche molto da dire anche per passare un po 'di tempo di qualità reale con le schede tecniche del fornitore e le note sulle app per i dispositivi, le librerie e gli strumenti FPGA stessi. Altrimenti potresti perdere una funzione utile o una trappola pericolosa del particolare dispositivo, libreria o strumenti che utilizzerai, che spesso non sono trattati in un libro di testo introduttivo. Anche i dispositivi e gli strumenti tendono ad evolversi più velocemente di quanto i libri di testo possano tenere il passo.

Vedi anche il mio vecchio pezzo sull'arte del design FPGA ad alte prestazioni http://www.fpgacpu.org/log/aug02.html#art .


4
  1. Il primo libro con cui vorrei iniziare è The Designer's Guide to VHDL di Peter J. Ashenden. Supponendo che tu abbia già familiarità con la programmazione, allora questo libro è un buon riferimento al linguaggio VHDL.
  2. Successivamente continuerò con la scrittura di banchi di prova: verifica funzionale dei modelli HDL di Janick Bergeron. Non copre solo VHDL, ma si concentra su una serie di argomenti che sono importanti quando si scrivono banchi di prova e codice per la verifica. Penso che faccia un lavoro migliore nel sottolineare la differenza tra VDHL per la simulazione e VHDL per la sintesi rispetto a The Designer's Guide to VHDL .
  3. Dopodiché, consiglierei di studiare le linee guida sulla codifica HDL fornite dal tuo rivenditore FPGA. Forniscono molti suggerimenti utili su come scrivere il codice VHDL in modo che si associ in modo efficiente alle primitive hardware che si trovano nel dispositivo specifico a cui si sta eseguendo il targeting.
  4. E infine: scarica, leggi e capisci il codice del mondo reale. Ho trovato la GRLIB IP Library un'utile fonte di ispirazione. GRLIB è una libreria SoC open source basata sul processore SPARC di SUN.

3

Prototipazione rapida dei sistemi digitali di Hamblen, Hall e Furman. È un'ottima introduzione agli FPGA (basati su hardware e software Altera) e contiene molti progetti interessanti che possono essere implementati su una scheda a basso costo disponibile da Altera. Ho progettato un piccolo PCB utilizzando un FPGA Flex 10K10 adatto alla maggior parte degli esempi della prima edizione, inclusa una piccola CPU a 8 bit.

Ho comprato la mia copia per circa £ 22, nuovo di zecca, tramite Amazon. È un valore molto buono.


A 65 GBP per <300 pagine non esattamente economiche :-(, ma grazie per il suggerimento.
stevenvh

C'è anche un'edizione Altera. Utile ma non aggiornato con il software attuale, almeno nella sezione NIOS.
Brian Carlton,

2

Quando impari qualsiasi tipo di HDL (Verilog, VHDL ...) è importante tenere a mente una cosa. Non è una programmazione software e le cose funzionano in parallelo. Detto questo, trovo che il modo migliore per imparare qualsiasi HDL sia imparare a pensare nell'hardware e descrivere l'hardware (ecco perché si chiama linguaggio di descrizione dell'hardware).

Finora ho visto raramente libri che mostrano come il tuo HDL viene tradotto in hardware. Ne ho letto uno quando ero a Synopsys (pagine piene di codice e schemi) ma era una pubblicazione interna. Tuttavia, anche senza questo libro, puoi ancora vedere come il tuo codice viene trasformato in hardware eseguendolo attraverso la sintesi su software libero.

Il motivo per cui desidero sottolineare questo è perché ci sono molti modi per risolvere un problema. Sarai in grado di scrivere il codice che lo risolve in modo efficiente, dal punto di vista del gate e dal punto di vista del timing, se capisci come viene tradotto nell'hardware sottostante.

In bocca al lupo!


0

Consiglio vivamente ogni libro scritto dal Prof Pong Chu .

Offrono un'introduzione molto completa al design RTL usando Verilog o VHDL. Forniscono inoltre un'introduzione ai tradizionali soft core come Nios di Altera o Picoblaze o Microblaze (edizione 2017) di Xilinx. Lo stile di codifica è pulito e la metodologia per tradurre l'algoritmo in FSMD (macchine a stati finiti che controllano i percorsi dei dati) è molto utile.

Mi piacciono tutti gli altri libri citati in precedenza, ma i libri di Pong Chu sono chiaramente i miei preferiti. I libri di Ashenden sono più avanzati riguardo a VHDL, ma i limiti di RTL vs simulazione non sono chiari come quelli di Pong Chu.

Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.