Come usare Verilog HDL su Ubuntu?


8

Ho provato a iniziare un piccolo corso con alcuni esempi in Verilog Hdl e dopo aver trascorso molto tempo a cercare il miglior simulatore o IDE per esercitarmi con alcuni esempi, non sono riuscito a capire come usarlo su Ubuntu 12.04

Quindi, ho due domande:

  1. Come posso usare Verilog su Ubuntu 12.04?

  2. Qual è il miglior simulatore (o IDE) disponibile per Linux?

Risposte:


3

Puoi scaricare il simulatore Verilog Linux Synilog di SynpatiCAD che è compatibile con Ubuntu. Include un simulatore della riga di comando e un IDE grafico. Dopo averlo installato, è possibile eseguire lo strumento e richiedere una licenza gratuita di 6 mesi per il simulatore.


5

Questo post aiuta: Verilog e VHDL su Linux (Ubuntu) ?

Raccomanda l'uso combinato di Icarus Verilog ( iverilognei repository) per la simulazione di Verilog, GHDL per la simulazione VHDL e GTKWave ( gtkwavenei repository) per la visualizzazione delle forme d'onda.

Se desideri un editor Verilog basato su Eclipse, prova a vedere .


ma il Veditor non si apre lo apro con JDK6 e non risponde o c'è un altro modo per
avviarlo

Non è un prodotto autonomo, ma un plugin eclipse; installa eclipse, quindi segui le istruzioni qui: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner

ok voglio un altro favore ,, potresti aggiornare la tua risposta da come installare icarus verilog ,, non posso installarlo
amrro

ghdl dammi quell'errore ... Il pacchetto ghdl non è disponibile, ma è indicato da un altro pacchetto. Ciò può significare che il pacchetto è mancante, è obsoleto o è disponibile solo da un'altra fonte E: Il pacchetto 'ghdl' non ha un candidato all'installazione
amrro

Ah - trovato mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; a causa di problemi di compilazione ghdl è stato rimosso dal debian ATM e quindi anche in Ubuntu dal 12.04; potresti voler provare a compilarlo da solo (e installarlo tramite checkinstall) - ma parlare / scriverti attraverso questo è oltre ciò che il mio tempo consente
Tom Regner

1

È inoltre possibile utilizzare gli ambienti IDE per lo sviluppo FPGA. Altera Quartus per Altera (Intel) FPGA o Xilinx ISE per dispositivi Xilinx. Questi ambienti consentono di scrivere codice sorgente VHDL e Verilog e includere simulatori.


Personalmente ho usato Quartus Prime, IDE abbastanza buono, anche se se stai per scaricare il codice Verilog su FPGA reale, devi modificare le regole udev per il riconoscimento del dispositivo.
Sergiy Kolodyazhnyy,

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Per vedere se tutto funziona correttamente, facciamo il ciao-mondo di Verilog.

nano hello.v

quindi scrivi il seguente codice ciao-mondo

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Quindi compilare

iverilog hello.v -o hello

e infine esegui il tuo codice

vvp hello

-1

Prova questo comando in una finestra del terminale:

sudo apt-get install gplcver

Questo non risponde alla domanda. Per favore, elabora la tua risposta. Che cos'è gplcver? Come usarlo?
Eric Carvalho,
Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.