Domande taggate «intel-fpga»

4
Utilizzando entrambi i bordi di un orologio
Sto programmando un Altera Cyclone IV usando Verilog e Quartus II. Nel mio progetto, vorrei usare entrambi i bordi di un orologio in modo da poter fare la divisione dell'orologio per un fattore dispari con un ciclo di lavoro del 50%. Ecco un frammento del mio codice: always @(posedge low_jitter_clock_i …

3
Cos'è l'orologio inclinato e perché può essere negativo?
Il mio compilatore HDL (Quartus II) genera rapporti di temporizzazione. In esso, i nodi hanno la colonna "clock skew". L'unica definizione di inclinazione dell'orologio che ho trovato è nella documentazione di TimeQuest (vedi pagina 7-24): Per specificare manualmente l'incertezza dell'orologio o l'inclinazione, per i trasferimenti da orologio a orologio, utilizzare …
Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.