Domande taggate «synthesis»

3
VHDL: numeri interi per sintesi?
Sono un po 'confuso se dovrei usare numeri interi in VHDL per segnali e porte di sintesi, ecc. Io uso std_logic nei porti di alto livello, ma internamente io stavo usando numeri interi a distanza in tutto il luogo. Tuttavia, mi sono imbattuto in alcuni riferimenti a persone che dicevano …
17 vhdl  synthesis 


2
Come posso specificare i segnali "non importa" in VHDL?
Nei corsi di Logic Design abbiamo appreso che è possibile minimizzare una funzione logica, ad esempio usando una mappa di Karnaugh o l' algoritmo Quine – McCluskey . Abbiamo anche appreso che i valori "Non preoccuparti" aumentano il potenziale di minimizzazione. Ad esempio prendere un file di registro. I segnali …

3
Strumenti di sintesi gratuiti Verilog generici?
Sono disponibili strumenti di sintesi gratuiti o open source in grado di convertire Verilog RTL in una netlist gate generica? (composto da NAND, NOR, XOR, D-flop / registri generici, ecc. Ottimizzazione non richiesta.). Se non fosse per la lingua completa, che ne dite di un sottoinsieme "utile" di RTL (oltre …

2
Come viene sintetizzata una variabile VHDL con strumenti di sintesi
Conosco due modi in cui una variabile VHDL è sintetizzata dallo strumento di sintesi: Variabile sintetizzata come logica combinatoria Variabile sintetizzata involontariamente come Latch (quando una variabile non inizializzata viene assegnata a un segnale o un'altra variabile) Quali sono gli altri modi in cui una variabile VHDL può essere sintetizzata? …
9 vhdl  synthesis  rtl 

Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.