Domande taggate «vhdl»

VHDL (VHSIC (Very High Speed ​​Integrated Circuit) Hardware Description Language) è un linguaggio di descrizione hardware utilizzato nell'automazione della progettazione elettronica per descrivere e progettare sistemi digitali come gate array programmabili sul campo e circuiti integrati.

9
Progetti per principianti su un FPGA?
Bloccato . Questa domanda e le sue risposte sono bloccate perché la domanda è fuori tema ma ha un significato storico. Al momento non accetta nuove risposte o interazioni. Sono a due settimane dal completamento del mio primo corso di progettazione di logica digitale all'università e apparentemente non ci sarà …
11 fpga  design  vhdl  verilog 

6
Esempio di codice per i filtri FIR / IIR in VHDL?
Sto cercando di iniziare con DSP nella mia scheda Spartan-3. Ho realizzato una scheda AC97 con un chip da una vecchia scheda madre e finora ho ottenuto ADC, moltiplicando i campioni per un numero <1 (riduzione del volume) e quindi DAC. Ora vorrei fare alcune cose DSP di base, come …
11 fpga  vhdl  dsp  iir  fir 

3
Esiste un "Design Patterns" per RTL sintetizzabile?
Per il software, il libro Design Patterns è un insieme di modelli per fare cose comuni nel software e offre ai professionisti del software una terminologia comune per descrivere alcuni dei componenti che devono creare. Esiste un libro o una risorsa del genere per RTL o RTL sintetizzabili in generale? …

4
FPGA: conto alla rovescia o conto alla rovescia?
Sto imparando ad usare un FPGA (scheda di sviluppo Papilio, che ha una xilinx spartan3e, usando vhdl). Devo dividere un impulso in arrivo per un numero (hard coded). Riesco a vedere 3 opzioni - approssimativamente, come pseudocodice (usando 10 conteggi come esempio): Inizializza su 0, all'aumentare il fronte di salita …
11 fpga  vhdl  xilinx  papilio 



2
VHDL: OR-ing bit di un vettore insieme
Voglio OR i bit di un vettore insieme. Quindi dire che ho un vettore chiamato example(23 downto 0)e voglio OR tutti i bit in un altro vettore, c'è un modo per farlo che non comporta andare example(0) or example(1) or ...example(23)?
11 vhdl 

2
Che cos'è un "mezzo fermo" in un FPGA?
In un articolo sulle FPGA a radiazione intensa mi sono imbattuto in questa frase: "Un'altra preoccupazione per quanto riguarda i dispositivi Virtex sono le mezze chiusure. Le mezze chiusure a volte vengono utilizzate all'interno di questi dispositivi per le costanti interne, poiché è più efficiente dell'uso della logica". Non ho …
10 fpga  vhdl  xilinx  radiation 



1
Pipeline MD5 VHDL
Sto cercando di implementare una pipeline MD5 a 3 stadi secondo questo link . In particolare gli algoritmi a pagina 31. Esiste anche un altro documento che descrive l'inoltro dei dati. Questo viene fatto in un FPGA (Terasic DE2-115). Non ci sono schemi in questo progetto, solo codice VHDL. library …
10 fpga  vhdl 



3
Premendo le stesse righe di tasti contemporaneamente
Sto progettando una tastiera in VHDL. Tutto funziona bene quando viene premuto un solo tasto. Sto eseguendo la scansione di ogni colonna per la pressione di un tasto in una macchina a stati e quando non viene premuto alcun tasto, che è la condizione pin4pin6pin7pin2 = "0000"che passo allo stato …


Utilizzando il nostro sito, riconosci di aver letto e compreso le nostre Informativa sui cookie e Informativa sulla privacy.
Licensed under cc by-sa 3.0 with attribution required.